Форумы Modlabs.net: Устр-во, принимающ символы с клав-ы и вывод-щее на терминал по алгоритму - Форумы Modlabs.net

Перейти к содержимому

Страница 1 из 1
  • Вы не можете создать новую тему
  • Вы не можете ответить в тему

Устр-во, принимающ символы с клав-ы и вывод-щее на терминал по алгоритму алгоритм обработки: не выводить никаких символов кроме букв и цифр

#1 Пользователь офлайн   Ksenya23 

  • Junior
  • Pip
  • Группа: Пользователи
  • Сообщений: 26
  • Регистрация: 19 Апрель 12

Отправлено 08 Май 2012 - 19:55

В поставленной задаче, выполняемой в программе Logisim, для определения принадлежности введённого символа 7-битного набора ASCII к определённой группе (прописные
и строчные буквы и цифры) использую несколько Компараторов. Остается только вопрос неотображения на терминале других символов, которые в моем случае отображаются знаками '?'. Наверно, в этом случае нужно задействовать тактовые входы клавиатуры и терминала, определенным образом срабатывающие только на необходимые символы, в другом случае - без срабатывания. Нет уверенности.
Представляю материал ниже
0

Поделиться темой:


Страница 1 из 1
  • Вы не можете создать новую тему
  • Вы не можете ответить в тему

1 человек читают эту тему
0 members, 1 guests, 0 anonymous users